• 台积电首次提及1.4nm工艺正在研发中,对2nm工艺信心满满

    吕嘉俭 发布于2023-12-14 15:30 / 关键字: 台积电, TSMC

    近日,台积电(TSMC)在IEEE国际电子元件会议(IEDM 2023)上透露,其1.4nm制程节点的研发工作已全面展开,进展顺利,同时再次强调下一代的2nm制程节点会在2025年实现量产。

    据TomsHardware报道,这是台积电首次对外披露其1.4nm制程节点的情况,对应工艺的正式名称为“A14”。至于A14工艺的具体规格和量产时间,暂时还不清楚。按照台积电的计划,N2工艺计划在2025年底量产,N2P工艺则是2026年底,有理由相信A14工艺的推出时间大概在2027年至2028年之间。

      展开阅读 

    分享
    | 收藏 | 评论(5)

  • 2023Q3排名前十晶圆代工厂营收环比增长7.9%,IFS首次进入前十名

    吕嘉俭 发布于2023-12-07 09:01 / 关键字: 台积电, TSMC, Intel, 英特尔

    根据TrendForce最新的统计数据,显示随着终端及IC客户库存陆续消化至健康水平,以及下半年iPhone和Android都相继推出新机型等有利因素影响,带动了2023年第三季度的智能手机、笔记本电脑相关零部件的急单。由于短期市况不明,高通胀风险仍然存在,因此厂商备货仅以急单方式进行。

      展开阅读 

    分享
    | 收藏 | 评论

  • 传台积电拿下英特尔140亿美元订单,未来产品将更加依赖外包生产

    吕嘉俭 发布于2023-11-30 11:18 / 关键字: 台积电, TSMC, 英特尔, Intel

    此前有报道称,随着新产品生产的需要,英特尔计划在2024年和2025年将扩大外包的订单量,除了自己的制造部门外,很大部分将流向台积电(TSMC),而且占比会变得更高,双方将展开更为密切的合作。

      展开阅读 

    分享
    | 收藏 | 评论(3)

  • 台积电董事长:英伟达将成为全球最大芯片公司

    吕嘉俭 发布于2023-11-28 14:34 / 关键字: 台积电, TSMC, 英伟达, NVIDIA

    得益于人工智能(AI)和高性能计算(HPC)前所未有的需求水平,英伟达的数据中心业务再次成为了亮点,计算卡出货量不断提高。同时英伟达的股票也成为了华尔街的宠儿,今年出现了连续数月的攀升。

      展开阅读 

    分享
    | 收藏 | 评论(3)

  • 德国政府挪用预算被裁定违宪,或影响英特尔和台积电新建晶圆厂补助

    吕嘉俭 发布于2023-11-23 09:50 / 关键字: 英特尔, Intel, 台积电, TSMC

    今年台积电(TSMC)和英特尔先后宣布在德国兴建半导体工厂的计划,前者将与博世、英飞凌和恩智浦半导体共同投资位于德国德累斯顿的欧洲半导体制造公司(ESMC),后者将在马格德堡兴建两座新的晶圆厂。之所以选择在德国建设新的晶圆厂,能获得大额的补贴是重要因素之一。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 台积电2024H1产能利用率重回80%,苹果开始拉动3nm产能放量

    吕嘉俭 发布于2023-11-21 11:07 / 关键字: 台积电, TSMC, 苹果, Apple

    2023年半导体产业并没有按预计那样在第二季度复苏,即便第四季度库存已接近谷底,但受限于总体经济状况,反弹似乎还是太早了。随着库存情况继续改善、车用市场回暖、以及人工智能(AI)需求爆发,台积电在2024年似乎迎来了健康成长,且表现优于整体市场。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电提高明年月度CoWoS产能目标:提升20%以满足市场需求

    吕嘉俭 发布于2023-11-14 14:57 / 关键字: 台积电, TSMC

    进入2023年后,以ChatGPT为首的人工智能(AI)工具兴起,对英伟达A100和H100这样的数据中心GPU的需求大幅度提高,这让负责制造及封装的台积电(TSMC)在先进封装方面的产能变得紧张,不得不紧急扩大2.5D封装产能。此前有报道称,经过台积电几个月的努力,目前CoWoS封装产能已提高至每月15000片,英伟达占用了其中40%的部分,而AMD则占据了8%。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电已将CoWoS封装产能提高至每月1.5万片,英伟达占用了其中的40%

    吕嘉俭 发布于2023-11-08 11:51 / 关键字: 台积电, TSMC

    过去的几个月里,以ChatGPT为首的人工智能(AI)工具兴起,对英伟达A100和H100这样的数据中心GPU的需求大幅度提高,这让负责制造及封装的台积电(TSMC)在先进封装方面的产能变得紧张,不得不紧急扩大2.5D封装产能,以满足不断增长的需求。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电表示其N3P与Intel 18A技术相当,N2推出时将是最先进工艺

    吕嘉俭 发布于2023-10-20 11:33 / 关键字: 台积电, TSMC

    在英特尔2021年公布的“四年五个制程节点”的半导体工艺路线图里,Intel 7和Intel 4已实现大规模量产;Intel 3正在按计划推进,目标是2023年底;Intel 20A和Intel 18A进展顺利,目标是2024年,将采用RibbonFET全环绕栅极晶体管和PowerVia背面供电技术。英特尔坚信,如果能按时推进项目,那么到2025年凭借Intel 18A就能够重新回到领先位置。

    据The Motley Fool报道,近日台积电总裁兼联合行政总裁魏哲家在公司财报电话会议上表示,台积电内部评估显示,N3P工艺在性能与能效上与Intel 18A技术相当,但上市时间更早,技术上也更为成熟,而且成本还要低得多。同时还重申台积电的N2工艺优于竞争对手的Intel 18A,2025年推出时将成为半导体行业最先进的技术。

      展开阅读 

    分享
    | 收藏 | 评论(4)

  • 台积电公布2023Q3财报:先进工艺收入占比近六成

    吕嘉俭 发布于2023-10-20 10:10 / 关键字: 台积电, TSMC

    台积电(TSMC)昨天公布了2023年第三季度业绩,显示收入达到了5467.3亿新台币(约合人民币1236.7亿元),同比下降10.8%,环比增加13.7%。若以美元计算,收入为172.8亿美元,同比下降14.6%,环比增加10.2%,这一数字在台积电此前的预期值内(167亿美元到175亿美元之间)。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电创始人断言:IFS将继续停留在台积电阴影下

    吕嘉俭 发布于2023-10-16 10:22 / 关键字: 台积电, TSMC

    近年来,世界各国争相推动半导体产业发展,作为世界晶圆代工的龙头,台积电(TSMC)也面临着各种的问题。据相关媒体报道,最近台积电创始人张忠谋在出席公开活动期间,就台积电未来面临的挑战,以及战略定位发表了自己的看法。

      展开阅读 

    分享
    | 收藏 | 评论(3)

  • 英特尔和台积电披露下一代CFET晶体管进展,未来将取代GAA技术

    吕嘉俭 发布于2023-10-07 16:15 / 关键字: 英特尔, Intel, CFET, 台积电, TSMC

    据eeNewEurope报道,英特尔和台积电(TSMC)即将在IEDM 2023上公布下一代CFET晶体管的进展情况。未来堆叠式CFET架构将取代GAA(Gate-All-Round),成为新一代晶体管设计。

      展开阅读 

    分享
    | 收藏 | 评论

  • 三星和台积电均遭遇难题:在3nm工艺良品率上挣扎

    吕嘉俭 发布于2023-10-06 13:18 / 关键字: 三星, Samsung, 台积电, TSMC

    目前三星和台积电(TSMC)都已在3nm制程节点上实现了量产,前者于2022年6月宣布量产全球首个3nm工艺,后者则在同年12月宣布启动3nm工艺的大规模生产,苹果最新发布的iPhone 15 Pro系列机型上搭载的A17 Pro应用了该工艺。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 台积电推出3Dblox 2.0,3DFabric联盟将继续推动3D IC创新

    吕嘉俭 发布于2023-09-28 09:29 / 关键字: 台积电, TSMC, 3DFabric, 3Dblox

    去年台积电(TSMC)宣布启动3DFabric联盟。这是半导体行业第一个与合作伙伴加速3D IC生态系统的创新联盟,为半导体设计、存储器模块、基板技术、测试、制造和封装提供全方位的一流解决方案和服务。

      展开阅读 

    分享
    | 收藏 | 评论

  • 英伟达已向台积电3nm工艺下单,Blackwell架构B100将于2024Q4到来

    吕嘉俭 发布于2023-09-26 14:03 / 关键字: 台积电, TSMC, 英伟达, NVIDIA, Blackwell

    目前台积电(TSMC)已量产了3nm工艺,不过直到现在也仅有苹果一个大客户下单,且搭载于iPhone 15 Pro系列的A17 Pro在能效方面的表现并不太好。传闻台积电3nm工艺报价达到2万美元的高价,加上半导体行情持续低迷,不少台积电的大客户都修改了原定的计划,推迟采用3nm工艺,至少要等到2024年下半年才导入。

    凭借人工智能(AI)对数据中心GPU的强劲需求,英伟达是少数能在市场不景气的大环境里逆势而起的科技公司,大量的订单一定程度上弥补了台积电营收下降的缺口。据DigiTimes报道,英伟达近期还与台积电签下了3nm工艺的订单,而且打算趁热打铁,提前至2024年第四季度推出Blackwell架构GB100,在数据中心市场继续压制其他竞争对手,早于原来计划的2025年。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  3 4 5 6 7 8 9 10 11 12 ...98