• 2022Q2排名前十代工厂产值环比增长跌至3.9%,供应短缺潮落幕

    吕嘉俭 发布于2022-09-27 17:21 / 关键字: 台积电, TSMC

    随着消费级电子市场的需求持续走弱,下游经销商和品牌厂商库存压力增大,虽然个别零部件仍有缺货的情况,但为期两年的普遍缺货情况已经结束了,各大品牌厂商应市场行情变化逐步停止了备货。目前有稳定需求的是汽车和工业设备,支撑着产值持续增长。

    TrendForce发布了新的调查报告,显示2022年第二季度中,排名前十的晶圆代工厂的产值达到了332亿美元,不过环比增长幅度已降至3.9%。进入2022年第三季度后,库存调整将全面展开,LDDI/TDDI和电视芯片订单削减量加大,并延伸到非苹果的智能手机AP、PMIC、CIS和中低端MCU,将影响晶圆代工厂的产能利用率,不过新款iPhone一定程度上为低迷的市场注入动力。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 索尼PlayStation 5配备AMD升级款6nm SoC,名为“Oberon Plus”

    吕嘉俭 发布于2022-09-26 12:45 / 关键字: 索尼, Sony, PlayStation 5, PS5, 台积电, TSMC

    自PlayStation 5发售以后,索尼已经多次对其内部结构进行了修改,主要为了减轻重量或者降低功耗。近期开始销售的新版PlayStation 5,光驱版型号为CFI-1202A,数字版型号为CFI-1202B,对应重量为3.9kg和3.4kg,分别比现有的版本(CFI-1102A/B)轻了300g和200g,比最初发布的版本分别轻了600g和500g。

    据Angstronomics报道,索尼在CFI-1202系列PlayStation 5游戏主机做了更大的改动。与之前的两款不同的是,CFI-1202系列PlayStation 5游戏主机采用了新版AMD定制SoC,启用了台积电(TSMC)6nm工艺制造,名为“Oberon Plus”(目前7nm版名为Oberon)。事实上,很早之前就有报道称,索尼定制的SoC将改成6nm工艺制造,用于新版PlayStation 5上,只不过比预想中还更快一些。

      展开阅读 

    分享
    | 收藏 | 评论(7)

  • AMD高层计划拜访相关合作伙伴,将与台积电商讨2nm/3nm芯片订单

    吕嘉俭 发布于2022-09-22 11:00 / 关键字: AMD, 台积电, TSMC

    虽然AMD的CPU和GPU产品线才刚开始向5nm制程节点切换,不过开发中的下一代芯片需要更先进的工艺支持,虽然还有不少时间,不过AMD似乎已经开始为未来的产品做相关的配套准备工作了。

    据DigiTimes报道,AMD首席执行官苏姿丰博士将率领一众高管,计划于今年9月底至11月初期间拜访相关合作伙伴,主要涉及芯片制造、封装、以及PC厂商,显然台积电(TSMC)是其中的重点对象。预计苏姿丰会在10月初抵达中国台湾,期间会与台积电首席执行官魏哲家会面,双方的主要讨论话题是未来台积电3nm和2nm制程节点的合作计划,包括了各类可用的工艺,传言AMD打算使用N3P工艺。

      展开阅读 

    分享
    | 收藏 | 评论(3)

  • 研究机构对中国台湾地区半导体及面板行业做震后评估:影响有限,库存充足

    吕嘉俭 发布于2022-09-20 14:38 / 关键字: 台积电, TSMC, 联华电子, UMC, 联电

    此前中国台湾地区连续发生地震,作为半导体行业的重镇,这类型自然生态灾难或多或少会影响世界半导体的供应。继近期的强震后,TrendForce对中国台湾地区半导体及面板产业做了相关的评估

    对于晶圆代工厂而言,由于采用了减震设计,工厂内部的震动会比外部小一级,暂时也没有厂商报告生产设施出现损坏。现阶段最坏的情况是,厂商需要在设备系统崩溃后进行初始化操作。

      展开阅读 

    分享
    | 收藏 | 评论(2)

  • 明年苹果M3和A17 Bionic或采用台积电N3E工艺,性能更优且更省电

    吕嘉俭 发布于2022-09-14 15:42 / 关键字: 苹果, Apple, 台积电, TSMC

    苹果的芯片正准备迈向3nm制程节点,不过苹果在具体工艺的选择上仍有待商榷。虽然台积电(TSMC)计划在今年下半年量产第一代N3工艺,同时英特尔因Meteor Lake延期空出产能,不过苹果似乎有所保留,并没有选择大规模下单。

    据Nikkei报道,苹果目前的目标是成为明年首家使用台积电N3E工艺的厂商,用在M3和A17 Bionic上,这属于第二代N3工艺,从侧面上反映了第一代N3工艺并没那么受欢迎。M3将用于未来的Mac和iPad产品,而A17 Bionic会用于iPhone 15 Pro和iPhone 15 Pro Max。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电将在2024年引入High-NA EUV光刻机,或用于2025年2nm芯片生产

    吕嘉俭 发布于2022-09-14 11:34 / 关键字: 台积电, TSMC

    台积电(TSMC)的目标是2025年量产其N2工艺,而现阶段主要是其他N3工艺的产量和良品率,这被认为是世界上最先进的芯片制造技术之一。随着英特尔Meteor Lake延期,以及N3工艺的效能未让苹果满意,台积电很可能放弃N3工艺,将重点转移到明年量产的N3E工艺,这属于第二版3nm制程。

    虽然台积电短期内的工艺推进计划似乎受到了一些挫折,不过并没有影响其技术的研发,近期台积电负责研发和技术的高级副总裁YJ Mii博士分享了更多的信息。据Wccftech报道,台积电下一阶段将转向具有更大镜头的机器,计划在2024年引入High-NA EUV光刻机,一般认为会用于2nm芯片的制造上。

      展开阅读 

    分享
    | 收藏 | 评论

  • 投资机构下调台积电目标股价,对3nm工艺仍保持乐观态度

    吕嘉俭 发布于2022-09-03 11:40 / 关键字: 台积电, TSMC

    近期有报道称,英特尔推迟了在台积电(TSMC)3nm工艺的订单,导致后者产能利用率不足,影响了先进工艺产能扩张计划。英特尔此举还可能影响台积电的营收,虽然在2023年仍会继续增长,只是增速会减慢,不过可以缓解台积电近期较大的成本摊销压力。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 台积电表示芯片短缺扰乱市场,一颗小芯片就能导致上亿美元的设备无法出货

    吕嘉俭 发布于2022-08-31 11:04 / 关键字: 台积电, TSMC

    现代的机械需要大量的芯片来实现高级功能,几乎所有不同类型的设备都会使用电子元件,尤其是逻辑芯片。不过可能会存在一个问题,一颗几块钱的小芯片可能就会影响一台数十万元的汽车,甚至是上亿美元的设备。

    根据Statista统计的数据,2021年全球汽车制造业的收入为2.86万亿美元,每辆汽车都使用数百个芯片,随着自动驾驶变得更普遍,预计这一数字将在未来几年内增加到1500多个。由于汽车产业规模庞大,加上需要的芯片种类和数量繁多,意味着以后会更加依赖半导体行业和芯片供应,所以现在的汽车厂商比以往更注重芯片的供应问题。

      展开阅读 

    分享
    | 收藏 | 评论(2)

  • 报告称AMD已成为台积电5nm工艺的第二大客户,比英特尔更能应对PC行业低迷

    吕嘉俭 发布于2022-08-25 12:48 / 关键字: AMD, 台积电, TSMC

    此前AMD官方已发出公告,宣布会在美国东部时间2022年8月29日晚上7点(北京时间为8月30日早上7点)举办名为“together we advance_PCs”的直播活动,公布下一代AMD的PC产品。随着基于Zen 4架构的Ryzen 7000系列桌面处理器即将到来,AMD将在台积电(TSMC)的5nm工艺上大量下单。

      展开阅读 

    分享
    | 收藏 | 评论(6)

  • 台积电3nm工艺将在下个月量产,2023H1开始为营收做贡献

    吕嘉俭 发布于2022-08-18 14:40 / 关键字: 台积电, TSMC

    上个月,三星在京畿道华城工厂V1生产线,举行了采用下一代GAA(Gate-All-Around)架构晶体管技术的3nm代工产品发货仪式。台积电(TSMC)作为第一大晶圆代工厂,其3nm工艺的生产也提上了日程。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 报告称三星与台积电竞争将面临多个难题,整体成本高于对方

    吕嘉俭 发布于2022-08-17 12:38 / 关键字: 三星, Samsung, 台积电, TSMC

    三星上个月在京畿道华城工厂V1生产线,举行了采用下一代GAA(Gate-All-Around)架构晶体管技术的3nm代工产品发货仪式。三星希望通过尽快引入新技术和新的制程节点,以缩小与台积电(TSMC)之间的差距。曾有报道称,三星的目标是到2030年的时候可以超越台积电。

    据Business Korea报道,韩国工业联合会下属的韩国经济研究所近期发布了一份报告,认为三星与台积电之间的竞争将面临多个难题。相比竞争对手,三星在企业税率、劳动力成本和人力供应方面的商业环境方面都有所不及。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 因英特尔推迟Meteor Lake外包订单,台积电或放缓3nm工艺计划

    吕嘉俭 发布于2022-08-05 12:35 / 关键字: 台积电, TSMC, Intel, 英特尔, Meteor Lake

    一个月前就有报道称,英特尔CEO帕特-基尔辛格(Pat Gelsinger)可能会在8月份前往台积电,与台积电的高层会晤,修改3nm的生产计划。明年英特尔将推出Meteor Lake,采用了模块化设计,除了使用自己新的Intel 4工艺,还会利用台积电(TSMC)的N3工艺制造的GPU模块。

    据TrendForce的最新报告,英特尔将Meteor Lake的发布时间推迟到2023年底,具体什么原因暂时还不清楚。随着Meteor Lake时间表的改变,传闻英特尔取消了原定于2023年的大部分3nm订单,仅保留少量订单用于工程验证。此事对台积电的先进工艺产能扩张计划影响非常大,导致2022年下半年到2023年上半年,首批3nm芯片客户仅剩下苹果一家。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电表示芯片需求依然强劲,但ASML很难提供足够的制造工具

    吕嘉俭 发布于2022-07-23 16:21 / 关键字: 台积电, TSMC, ASML, 阿斯麦

    在过去两年里,市场对芯片的高需求和客户支付费用的上升,使得台积电的营收不断创下历史新高。尽管近期消费类芯片的需求放缓,不过对5G、AI、HPC和汽车芯片的需求依然保持稳定。台积电面临的问题是晶圆厂需要获得更多的设备,而ASML(阿斯麦)早已表示对半导体制造工具的需求大大超过了供应量。

      详细阅读 

    分享
    | 收藏 | 评论(4)

  • 台积电公布2022Q2财报:毛利率超出预期,先进工艺收入占比过半

    吕嘉俭 发布于2022-07-14 15:57 / 关键字: 台积电, TSMC

    台积电(TSMC)公布了2022年第二季度业绩,显示收入达到了5341.4亿新台币,以新台币兑换美元的平均汇率换算后为181.6亿美元,与台积电此前预计的176亿到182亿美元区间相吻合,同比增长36.6%,环比增长3.4%,再次创下了新高。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 台积电在2022Q1占据智能手机芯片市场近70%份额,三星4/5nm工艺进步神速

    吕嘉俭 发布于2022-07-11 14:51 / 关键字: 台积电, TSMC, 三星

    当下顶尖的晶圆生产厂的成本已经非常高,这导致仅有个别厂家能够掌握,而且后来者很难赶上市场上的领导者。目前用于制造智能手机芯片使用的7nm或以下的先进制程工艺上,被台积电(TSMC)和三星所垄断。

      展开阅读 

    分享
    | 收藏 | 评论(6)

  10 11 12 13 14 15 16 17 18 19 ...98